欢迎光临
我们一直在努力

福师23秋《EDA技术》在线作业二【标准答案】

可做奥鹏国开全部院校作业论文!答案请添加qq:599792888 或 微信:1095258436

福师《EDA技术》在线作业二

共50道题 总分:100分

一、多选题(共10题,20分)

1.PLD是一种半定制器件,主要包括()。

A、FPGA

B、CPLD

C、CPU

D、ASIC

2.目前常用的硬件描述语言为:()。

A、Verilog

B、VHDL

C、和 VC

D、VB

3.下面哪些是专业提供PLD器件厂商()。

A、Xilinx

B、Altera

C、Lattice

D、Micsoftware

4.按照处理的HDL语言类型,仿真器可以分为()。

A、Verilog HDL仿真器

B、VHDL HDL仿真器

C、混合仿真器

5.SPLD器件分为几类()。

A、PROM

B、PLA

C、PAL

D、GAL

6.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。

A、设计输入

B、综合

C、布局布线

D、仿真和编程

7.下面哪些是专业提供第三方EDA软件工具的公司()。

A、Cadence

B、Mentor

C、Synopsys

D、Synplicity

8.基于EDA技术的设计中,通常有两种设计思路()。

A、自顶向下

B、自底向上

C、自前向后

D、自后向前

9.衡量仿真器性能的重要指标有哪些()。

A、仿真速度

B、仿真的准确性

C、仿真的易用性

10.布局布线完成后会产生哪些文件()。

A、芯片资源耗用的报告

B、EDIF

C、延时网表

D、器件编程文件

二、判断题(共40题,80分)

1.Alter的FPGA器件主要由两类配置方式:主动配置方式和被动配置方式。

A、错误

B、正确

福师答案请进:opzy.net或请联系微信:1095258436
2.PLD按照可编程的次数分为两类:一次性编程器件和可多次编程器件。

A、错误

B、正确

3.IP核中的硬核可靠性高,能确保性能,能够很快投入使用。

A、错误

B、正确

4.综合指的是将较高级抽象层次的设计描述自动转化为较低层次描述的过程。

A、错误

B、正确

5.Verilog程序的基本设计单元是“模块”( module)。

A、错误

B、正确

6.PLD是一种全定制器件。

A、错误

B、正确

7.在EDA设计中一般采用硬件描述语言(HDL)进行电路与系统的描述。

A、错误

B、正确

8.HDL是Hardware Description Language,硬件描述语言的缩写。

A、错误

B、正确

9.Synplify是一种FPGA/CPLD的逻辑综合工具。

A、错误

B、正确

10.如果只需要在上电和系统错误时进行复位操作,采用异步复位方式比同步复位方式好。

A、错误

B、正确

11.Verilog HDL支持循环语句。

A、错误

B、正确

12.Verilog HDL中assign为持续赋值语句。

A、错误

B、正确

13.Verilog HDL中整数型常量是不可以综合的。

A、错误

B、正确

14.CAD是Computer Aided Design,计算机辅助设计的缩写。

A、错误

B、正确

15.PLA是Programmable Logic Array,可编程逻辑阵列的缩写。

A、错误

B、正确

16.Verilog HDL语法要素与软件编程语言(如C语言)是完全相同的。

A、错误

B、正确

17.布局布线为将综合生成的电路逻辑网表映射到具体的目标器件中实现,并产生最终的可下载文件的过程。

A、错误

B、正确

18.JTAG边界扫描测试技术提供了一种合理而有效的方法,用以对高密度、引脚密集的器件和系统进行测试。

A、错误

B、正确

19.数据流描述方式多用于组合逻辑电路。

A、错误

B、正确

20.EDA是Electronic Design Automation,电子设计自动化的缩写。

A、错误

B、正确

21.Verilog HDL中实数型和字符串型常量是可以综合的。

A、错误

B、正确

22.解释型仿真器速度慢一些,但可以随时修改仿真环境和仿真条件。

A、错误

B、正确

23.仿真分为功能仿真和时序仿真。

A、错误

B、正确

24.绝大多数的FPGA器件都基于SRAM查找表结构实现。

A、错误

B、正确

25.硬件综合器和软件程序编译器没有本质区别。

A、错误

B、正确

26.浮栅编程元件一般用在民用、消费类产品中。

A、错误

B、正确

27.Verilog HDL和 VHDL目前还都不是IEEE标准。

A、错误

B、正确

28.IP是Intellectual Property的缩写。

A、错误

B、正确

29.用状态机进行设计具有速度快、结构简单、可靠性高等优点。

A、错误

B、正确

30.数字设计流程中采用原理图方式适合描述电路的连接关系核接口关系。

A、错误

B、正确

31.有限状态机可以认为是组合逻辑和寄存器逻辑的特殊组合。

A、错误

B、正确

32.HDL是一种用文本形式来描述和设计电路的语言。

A、错误

B、正确

33.目前在数字系统的设计中,主要采用Bottom-UP设计为主。

A、错误

B、正确

34.Quartus II是Xilinx的FPGA/CPLD的集成开发工具。

A、错误

B、正确

35.对设计而言,采用的描述级别越高,设计越容易。

A、错误

B、正确

36.PLD器件的设计往往采用层次化的设计方法,分模块,分层次地进行设计描述。

A、错误

B、正确

37.目前常用的硬件描述语言为:Verilog HDL和 VHDL。

A、错误

B、正确

38.编译型仿真器的仿真速度快,但需要预处理,不能即时修改。

A、错误

B、正确

39.SRAM是指静态存储器。

A、错误

B、正确

40.混合仿真器就是能同时支持Verilog和VHDL的仿真器。

A、错误

B、正确

赞(0)
未经允许不得转载:奥鹏作业网 » 福师23秋《EDA技术》在线作业二【标准答案】

评论 抢沙发

  • 昵称 (必填)
  • 邮箱 (必填)
  • 网址